-- -- ------------------------------------------------------ -- Bibliothken einbinden library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity iir is port ( -- inputs clk_50MHz : in std_logic; -- 50 MHz Takt x : in signed(23 downto 0); -- Audiosample Eingang en : in std_logic; -- Freigabesignal zum Einlesen der Eingangsdaten b0_1 : in signed(63 downto 0); -- Koeffizient b0 für Filter 1 des IIR-Filters b2_1 : in signed(63 downto 0); -- Koeffizient b2 für Filter 1 des IIR-Filters b0_2 : in signed(63 downto 0); -- Koeffizient b0 für Filter 2 des IIR-Filters b2_2 : in signed(63 downto 0); -- Koeffizient b2 für Filter 2 des IIR-Filters b0_3 : in signed(63 downto 0); -- Koeffizient b0 für Filter 3 des IIR-Filters b2_3 : in signed(63 downto 0); -- Koeffizient b2 für Filter 3 des IIR-Filters b0_4 : in signed(63 downto 0); -- Koeffizient b0 für Filter 4 des IIR-Filters b2_4 : in signed(63 downto 0); -- Koeffizient b2 für Filter 4 des IIR-Filters b0_5 : in signed(63 downto 0); -- Koeffizient b0 für Filter 5 des IIR-Filters b2_5 : in signed(63 downto 0); -- Koeffizient b2 für Filter 5 des IIR-Filters b0_6 : in signed(63 downto 0); -- Koeffizient b0 für Filter 6 des IIR-Filters b2_6 : in signed(63 downto 0); -- Koeffizient b2 für Filter 6 des IIR-Filters b0_7 : in signed(63 downto 0); -- Koeffizient b0 für Filter 7 des IIR-Filters b2_7 : in signed(63 downto 0); -- Koeffizient b2 für Filter 7 des IIR-Filters b0_8 : in signed(63 downto 0); -- Koeffizient b0 für Filter 8 des IIR-Filters b2_8 : in signed(63 downto 0); -- Koeffizient b2 für Filter 8 des IIR-Filters b0_9 : in signed(63 downto 0); -- Koeffizient b0 für Filter 9 des IIR-Filters b2_9 : in signed(63 downto 0); -- Koeffizient b2 für Filter 9 des IIR-Filters b0_10 : in signed(63 downto 0); -- Koeffizient b0 für Filter 0 des IIR-Filters b2_10 : in signed(63 downto 0); -- Koeffizient b2 für Filter 10 des IIR-Filters b0_11 : in signed(63 downto 0); -- Koeffizient b0 für Filter 11 des IIR-Filters b2_11 : in signed(63 downto 0); -- Koeffizient b2 für Filter 11 des IIR-Filters b0_12 : in signed(63 downto 0); -- Koeffizient b0 für Filter 12 des IIR-Filters b2_12 : in signed(63 downto 0); -- Koeffizient b2 für Filter 12 des IIR-Filters b0_13 : in signed(63 downto 0); -- Koeffizient b0 für Filter 13 des IIR-Filters b2_13 : in signed(63 downto 0); -- Koeffizient b2 für Filter 13 des IIR-Filters b0_14 : in signed(63 downto 0); -- Koeffizient b0 für Filter 14 des IIR-Filters b2_14 : in signed(63 downto 0); -- Koeffizient b2 für Filter 14 des IIR-Filters -- outputs y : out signed(23 downto 0) -- Audiosample Ausgang (gefiltert) ); end iir; architecture behavior of iir is signal counter : unsigned(7 downto 0) := x"00"; -- workflow counter zur Beechnung einer Kaskade signal section : unsigned(3 downto 0) := x"0"; -- Kaskeaden Index